S7-1200中怎么用定时器做出一个1s钟的脉冲

S7-1200中怎么用定时器做出一个1s钟的脉冲?
为什么定时器不能直接用在常开节点上面?

最佳答案

1、可以直接使用系统的秒脉冲,设置方法见图1,设置完成后 M0.5就是秒脉冲信号
2、如果非要自己做,可以按图2中的程序

图片说明:

S7-1200中怎么用定时器做出一个1s钟的脉冲  S7-1200中怎么用定时器做出一个1s钟的脉冲  

提问者对于答案的评价:
感谢,第二种是我想要的!

专家置评

已阅,最佳答案正确。

原创文章,作者:more0621,如若转载,请注明出处:https://www.zhaoplc.com/plc200543.html

(3)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2017年10月29日
下一篇 2017年10月29日

相关推荐