计数器CV_BCD数值

BCD码不是以二进制表示十进制的数吗?16#0392指的0392是16进制数吗,但计数增加时我没看到有A~F出现。所以我想知道0392是几进制的数?

最佳答案

16#0392可以确定是一个十六进制的数,这个是没有错的。转换成十进制是 914.
计数器的计数字的0-11位是计数值的BCD码,计数值的范围为0-999。BCD码是每4位二进制码表示一位十进制0-9之间数字,即用二进制0000-1001分别表示十进制的0-9,没有A-F,所以用十六进制监视时是看不到A-F的。
300 计数器
http://www.ad.siemens.com.cn/productportal/Prods/published/Prog/Prog_3.7/Prog_3.7.html
以及参考下面链接最下面关于计数器数据表示部分
《如何在WinCC项目中监控S7 300/400 PLC中的定时器及计数器》
https://support.industry.siemens.com/cs/document/79552957
想用十进制监视,可以将BCD码转换成 INT。
如何实现整形数(INT)与字符串(String)、S5TIME、BCD 码以及实数等数据类型之间的数据转换?
https://support.industry.siemens.com/cs/document/1851190

提问者对于答案的评价:
那CV和CV_BCD的显示值是不一样的吗?CV_BCD大于CV?

原创文章,作者:more0621,如若转载,请注明出处:https://www.zhaoplc.com/plc281402.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2020年10月30日
下一篇 2020年10月30日

相关推荐