S7 200如何查看用户程序大小

S7 200系列的用户程序RAM区是不同系列的PLC确定了大小,如224是8K , 226XP 是16K, 现在我想知道自己编的程序占用了RAM区多少内存(即我的程序大小是多少K),如何在编程软件中查看呀??

最佳答案

编译之后,在输出窗口就可以看到程序的大小,如果你的没有输出窗口,请在菜单项:查看–》框架–》输出窗口,就出现了。拖动滚动条就可以查看啦。
我上传了一张图,你一看就明白啦。

图片说明:

S7 200如何查看用户程序大小  

提问者对于答案的评价:

专家置评

已阅,最佳答案正确。

原创文章,作者:more0621,如若转载,请注明出处:https://www.zhaoplc.com/plc298368.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2020年10月31日
下一篇 2020年10月31日

相关推荐